Виды аналого-цифровых преобразователей (АЦП). Как работают аналогово-цифровые преобразователи и что можно узнать из спецификации на АЦП? Ацп принцип действия

Л Е К Ц И Я 3

Цифро-аналоговый и аналогово-цифровой преобразователи.

Общепринятая аббревиатура ЦАП и АЦП. В англоязычной литературе применяются термины DAC и ADC.

Цифро-аналоговые преобразователи служат для преобразования информации из цифровой формы в аналоговый сигнал. ЦАП широко применяется в различных устройствах автоматики для связи цифровых ЭВМ с аналоговыми элементами и системами.

ЦАП в основном строятся по двум принципам:

    взвешивающие - с суммированием взвешенных токов или напряжений, когда каждый разряд входного слова вносит соответствующий своему двоичному весу вклад в общую величину получаемого аналогового сигнала; такие ЦАП называют также параллельными или многоразрядными (multibit).

    Sigma-Delta, по принципу действия обратные АЦП (принцип работы сложен, здесь обсуждаться не будет).

Принцип работы взвешивающего ЦАП состоит в суммировании аналоговых сигналов, пропорциональных весам разрядов входного цифрового кода, с коэффициентами, равными нулю или единице в зависимости от значения соответствующего разряда кода.

ЦАП преобразует цифровой двоичный код Q 4 Q 3 Q 2 Q 1 в аналоговую величину, обычно напряжение U вых. . Каждый разряд двоичного кода имеет определенный вес i-го разряда вдвое больше, чем вес (i-1)-го. Работу ЦАП можно описать следующей формулой:

U вых =e*(Q 1 1+Q 2 *2+Q 3 *4+Q 4 *8+…),

где e - напряжение, соответствующее весу младшего разряда, Q i - значение i -го разряда двоичного кода (0 или 1).

Например, числу 1001 соответствует

U вых =е*(1*1+0*2+0*4+1*8)=9*e.

Упрощенная схема реализации ЦАП представлена на рис1. В схеме i – й ключ замкнут при Q i =1, при Q i =0 – разомкнут. Резисторы подобраны таким образом, что R>>Rн.

Принцип работы АЦП состоит в измерении уровня входного сигнала и выдаче результата в цифровой форме. В результате работы АЦП непрерывный аналоговый сигнал превращается в импульсный, с одновременным измерением амплитуды каждого импульса. Внутренний ЦАП преобразует цифровое значение амплитуды в импульсы напряжения или тока нужной величины, которые расположенный за ним интегратор (аналоговый фильтр) превращает в непрерывный аналоговый сигнал. Для правильной работы АЦП входной сигнал не должен изменяться в течение времени преобразования, для чего на его входе обычно помещается схема выборки-хранения, фиксирующая мгновенный уровень сигнала и сохраняющая его в течение всего времени преобразования. На выходе АЦП также может устанавливаться подобная схема, подавляющая влияние переходных процессов внутри АЦП на параметры выходного сигнала

В основном применяется три типа АЦП:

    параллельные - входной сигнал одновременно сравнивается с эталонными уровнями набором схем сравнения (компараторов), которые формируют на выходе двоичное значение.

    последовательного приближения – в котором при помощи вспомогательного ЦАП генерируется эталонный сигнал, сравниваемый с входным. Эталонный сигнал последовательно изменяется по принципу половинного деления. Это позволяет завершить преобразование за количество тактов, равное разрядности преобразователя, независимо от величины входного сигнала.

    с измерением временных интервалов - используются различные принципы преобразования уровней в пропорциональные временные интервалы, длительность которых измеряется при помощи тактового генератора высокой частоты. Иногда называются также считающими АЦП.

В этой статье рассмотрены основные вопросы, касающиеся принципа действия АЦП различных типов. При этом некоторые важные теоретические выкладки, касающиеся математического описания аналого-цифрового преобразования остались за рамками статьи, но приведены ссылки, по которым заинтересованный читатель сможет найти более глубокое рассмотрение теоретических аспектов работы АЦП. Таким образом, статья касается в большей степени понимания общих принципов функционирования АЦП, чем теоретического анализа их работы.

Введение

В качестве отправной точки дадим определение аналого-цифровому преобразованию. Аналого-цифровое преобразование – это процесс преобразования входной физической величины в ее числовое представление. Аналого-цифровой преобразователь – устройство, выполняющее такое преобразование. Формально, входной величиной АЦП может быть любая физическая величина – напряжение, ток, сопротивление, емкость, частота следования импульсов, угол поворота вала и т.п. Однако, для определенности, в дальнейшем под АЦП мы будем понимать исключительно преобразователи напряжение-код.


Понятие аналого-цифрового преобразования тесно связано с понятием измерения. Под измерением понимается процесс сравнения измеряемой величины с некоторым эталоном, при аналого-цифровом преобразовании происходит сравнение входной величины с некоторой опорной величиной (как правило, с опорным напряжением). Таким образом, аналого-цифровое преобразование может рассматриваться как измерение значения входного сигнала, и к нему применимы все понятия метрологии, такие, как погрешности измерения.

Основные характеристики АЦП

АЦП имеет множество характеристик, из которых основными можно назвать частоту преобразования и разрядность. Частота преобразования обычно выражается в отсчетах в секунду (samples per second, SPS), разрядность – в битах. Современные АЦП могут иметь разрядность до 24 бит и скорость преобразования до единиц GSPS (конечно, не одновременно). Чем выше скорость и разрядность, тем труднее получить требуемые характеристики, тем дороже и сложнее преобразователь. Скорость преобразования и разрядность связаны друг с другом определенным образом, и мы можем повысить эффективную разрядность преобразования, пожертвовав скоростью.

Типы АЦП

Существует множество типов АЦП, однако в рамках данной статьи мы ограничимся рассмотрением только следующих типов:

  • АЦП параллельного преобразования (прямого преобразования, flash ADC)
  • АЦП последовательного приближения (SAR ADC)
  • дельта-сигма АЦП (АЦП с балансировкой заряда)
Существуют также и другие типы АЦП, в том числе конвейерные и комбинированные типы, состоящие из нескольких АЦП с (в общем случае) различной архитектурой. Однако приведенные выше архитектуры АЦП являются наиболее показательными в силу того, что каждая архитектура занимает определенную нишу в общем диапазоне скорость-разрядность.

Наибольшим быстродействием и самой низкой разрядностью обладают АЦП прямого (параллельного) преобразования. Например, АЦП параллельного преобразования TLC5540 фирмы Texas Instruments обладает быстродействием 40MSPS при разрядности всего 8 бит. АЦП данного типа могут иметь скорость преобразования до 1 GSPS. Здесь можно отметить, что еще большим быстродействием обладают конвейерные АЦП (pipelined ADC), однако они являются комбинацией нескольких АЦП с меньшим быстродействием и их рассмотрение выходит за рамки данной статьи.

Среднюю нишу в ряду разрядность-скорость занимают АЦП последовательного приближения. Типичными значениями является разрядность 12-18 бит при частоте преобразования 100KSPS-1MSPS.

Наибольшей точности достигают сигма-дельта АЦП, имеющие разрядность до 24 бит включительно и скорость от единиц SPS до единиц KSPS.

Еще одним типом АЦП, который находил применение в недавнем прошлом, является интегрирующий АЦП. Интегрирующие АЦП в настоящее время практически полностью вытеснены другими типами АЦП, но могут встретиться в старых измерительных приборах.

АЦП прямого преобразования

АЦП прямого преобразования получили широкое распространение в 1960-1970 годах, и стали производиться в виде интегральных схем в 1980-х. Они часто используются в составе «конвейерных» АЦП (в данной статье не рассматриваются), и имеют разрядность 6-8 бит при скорости до 1 GSPS.

Архитектура АЦП прямого преобразования изображена на рис. 1

Рис. 1. Структурная схема АЦП прямого преобразования

Принцип действия АЦП предельно прост: входной сигнал поступает одновременно на все «плюсовые» входы компараторов, а на «минусовые» подается ряд напряжений, получаемых из опорного путем деления резисторами R. Для схемы на рис. 1 этот ряд будет таким: (1/16, 3/16, 5/16, 7/16, 9/16, 11/16, 13/16) Uref, где Uref – опорное напряжение АЦП.

Пусть на вход АЦП подается напряжение, равное 1/2 Uref. Тогда сработают первые 4 компаратора (если считать снизу), и на их выходах появятся логические единицы. Приоритетный шифратор (priority encoder) сформирует из «столбца» единиц двоичный код, который фиксируется выходным регистром.

Теперь становятся понятны достоинства и недостатки такого преобразователя. Все компараторы работают параллельно, время задержки схемы равно времени задержки в одном компараторе плюс время задержки в шифраторе. Компаратор и шифратор можно сделать очень быстрыми, в итоге вся схема имеет очень высокое быстродействие.

Но для получения N разрядов нужно 2^N компараторов (и сложность шифратора тоже растет как 2^N). Схема на рис. 1. содержит 8 компараторов и имеет 3 разряда, для получения 8 разрядов нужно уже 256 компараторов, для 10 разрядов – 1024 компаратора, для 24-битного АЦП их понадобилось бы свыше 16 млн. Однако таких высот техника еще не достигла.

АЦП последовательного приближения

Аналого-цифровой преобразователь последовательного приближения (SAR, Successive Approximation Register) измеряет величину входного сигнала, осуществляя ряд последовательных «взвешиваний», то есть сравнений величины входного напряжения с рядом величин, генерируемых следующим образом:

1. на первом шаге на выходе встроенного цифро-аналогового преобразователя устанавливается величина, равная 1/2Uref (здесь и далее мы предполагаем, что сигнал находится в интервале (0 – Uref).

2. если сигнал больше этой величины, то он сравнивается с напряжением, лежащим посередине оставшегося интервала, т.е., в данном случае, 3/4Uref. Если сигнал меньше установленного уровня, то следующее сравнение будет производиться с меньшей половиной оставшегося интервала (т.е. с уровнем 1/4Uref).

3. Шаг 2 повторяется N раз. Таким образом, N сравнений («взвешиваний») порождает N бит результата.

Рис. 2. Структурная схема АЦП последовательного приближения.

Таким образом, АЦП последовательного приближения состоит из следующих узлов:

1. Компаратор. Он сравнивает входную величину и текущее значение «весового» напряжения (на рис. 2. обозначен треугольником).

2. Цифро-аналоговый преобразователь (Digital to Analog Converter, DAC). Он генерирует «весовое» значение напряжения на основе поступающего на вход цифрового кода.

3. Регистр последовательного приближения (Successive Approximation Register, SAR). Он осуществляет алгоритм последовательного приближения, генерируя текущее значение кода, подающегося на вход ЦАП. По его названию названа вся данная архитектура АЦП.

4. Схема выборки-хранения (Sample/Hold, S/H). Для работы данного АЦП принципиально важно, чтобы входное напряжение сохраняло неизменную величину в течение всего цикла преобразования. Однако «реальные» сигналы имеют свойство изменяться во времени. Схема выборки-хранения «запоминает» текущее значение аналогового сигнала, и сохраняет его неизменным на протяжении всего цикла работы устройства.

Достоинством устройства является относительно высокая скорость преобразования: время преобразования N-битного АЦП составляет N тактов. Точность преобразования ограничена точностью внутреннего ЦАП и может составлять 16-18 бит (сейчас стали появляться и 24-битные SAR ADC, например, AD7766 и AD7767).

Дельта-сигма АЦП

И, наконец, самый интересный тип АЦП – сигма-дельта АЦП, иногда называемый в литературе АЦП с балансировкой заряда. Структурная схема сигма-дельта АЦП приведена на рис. 3.

Рис.3. Структурная схема сигма-дельта АЦП.

Принцип действия данного АЦП несколько более сложен, чем у других типов АЦП. Его суть в том, что входное напряжение сравнивается со значением напряжения, накопленным интегратором. На вход интегратора подаются импульсы положительной или отрицательной полярности, в зависимости от результата сравнения. Таким образом, данный АЦП представляет собой простую следящую систему: напряжение на выходе интегратора «отслеживает» входное напряжение (рис. 4). Результатом работы данной схемы является поток нулей и единиц на выходе компаратора, который затем пропускается через цифровой ФНЧ, в результате получается N-битный результат. ФНЧ на рис. 3. Объединен с «дециматором», устройством, снижающим частоту следования отсчетов путем их «прореживания».

Рис. 4. Сигма-дельта АЦП как следящая система

Ради строгости изложения, нужно сказать, что на рис. 3 изображена структурная схема сигма-дельта АЦП первого порядка. Сигма-дельта АЦП второго порядка имеет два интегратора и две петли обратной связи, но здесь рассматриваться не будет. Интересующиеся данной темой могут обратиться к .

На рис. 5 показаны сигналы в АЦП при нулевом уровне на входе (сверху) и при уровне Vref/2 (снизу).

Рис. 5. Сигналы в АЦП при разных уровнях сигнала на входе.

Теперь, не углубляясь в сложный математический анализ, попробуем понять, почему сигма-дельта АЦП обладают очень низким уровнем собственных шумов.

Рассмотрим структурную схему сигма-дельта модулятора, изображенную на рис. 3, и представим ее в таком виде (рис. 6):

Рис. 6. Структурная схема сигма-дельта модулятора

Здесь компаратор представлен как сумматор, который суммирует непрерывный полезный сигнал и шум квантования.

Пусть интегратор имеет передаточную функцию 1/s. Тогда, представив полезный сигнал как X(s), выход сигма-дельта модулятора как Y(s), а шум квантования как E(s), получаем передаточную функцию АЦП:

Y(s) = X(s)/(s+1) + E(s)s/(s+1)

То есть, фактически сигма-дельта модулятор является фильтром низких частот (1/(s+1)) для полезного сигнала, и фильтром высоких частот (s/(s+1)) для шума, причем оба фильтра имеют одинаковую частоту среза. Шум, сосредоточенный в высокочастотной области спектра, легко удаляется цифровым ФНЧ, который стоит после модулятора.

Рис. 7. Явление «вытеснения» шума в высокочастотную часть спектра

Однако следует понимать, что это чрезвычайно упрощенное объяснение явления вытеснения шума (noise shaping) в сигма-дельта АЦП.

Итак, основным достоинством сигма-дельта АЦП является высокая точность, обусловленная крайне низким уровнем собственного шума. Однако для достижения высокой точности нужно, чтобы частота среза цифрового фильтра была как можно ниже, во много раз меньше частоты работы сигма-дельта модулятора. Поэтому сигма-дельта АЦП имеют низкую скорость преобразования.

Они могут использоваться в аудиотехнике, однако основное применение находят в промышленной автоматике для преобразования сигналов датчиков, в измерительных приборах, и в других приложениях, где требуется высокая точность. но не требуется высокой скорости.

Немного истории

Самым старым упоминанием АЦП в истории является, вероятно, патент Paul M. Rainey, «Facsimile Telegraph System,» U.S. Patent 1,608,527, Filed July 20, 1921, Issued November 30, 1926. Изображенное в патенте устройство фактически является 5-битным АЦП прямого преобразования.

Рис. 8. Первый патент на АЦП

Рис. 9. АЦП прямого преобразования (1975 г.)

Устройство, изображенное на рисунке, представляет собой АЦП прямого преобразования MOD-4100 производства Computer Labs, 1975 года выпуска, собранный на основе дискретных компараторов. Компараторов 16 штук (они расположены полукругом, для того, чтобы уравнять задержку распространения сигнала до каждого компаратора), следовательно, АЦП имеет разрядность всего 4 бита. Скорость преобразования 100 MSPS, потребляемая мощность 14 ватт.

На следующем рисунке изображена продвинутая версия АЦП прямого преобразования.

Рис. 10. АЦП прямого преобразования (1970 г.)

Устройство VHS-630 1970 года выпуска, произведенное фирмой Computer Labs, содержало 64 компаратора, имело разрядность 6 бит, скорость 30MSPS и потребляло 100 ватт (версия 1975 года VHS-675 имела скорость 75 MSPS и потребление 130 ватт).

Литература

W. Kester. ADC Architectures I: The Flash Converter. Analog Devices, MT-020 Tutorial.

Цифро-аналоговые преобразователи (ЦАП) и аналого-цифровые преобразователи (АЦП) главным образом применяются для сопряжения цифровых устройств и систем с внешними аналоговыми сигналами, с реальным миром. При этом АЦП преобразует аналоговые сигналы во входные цифровые сигналы, поступающие на цифровые устройства для дальнейшей обработки или хранения, а ЦАП преобразует выходные цифровые сигналы цифровых устройств в аналоговые сигналы.

В качестве ЦАП и АЦП обычно применяются специализированные микросхемы, выпускаемые многими отечественными и зарубежными фирмами.

Микросхему ЦАП можно представить в виде блока (рис.13), имеющего несколько цифровых входов и один аналоговый вход, а также аналоговый выход.

Рис. 13. Микросхема ЦАП

На цифровые входы ЦАП подается n-разрядный код N, на аналоговый вход - опорное напряжение U оп (другое распространенное обозначение - U REF). Выходным сигналом является напряжение U вых (другое обозначение - U O) или ток I вых (другое обозначение - I O). При этом выходной ток или выходное напряжение пропорциональны входному коду и опорному напряжению. Для некоторых микросхем опорное напряжение должно иметь строго заданный уровень, для других допускается менять его значение в широких пределах, в том числе и изменять его полярность (положительную на отрицательную и наоборот). ЦАП с большим диапазоном изменения опорного напряжения называется умножающим ЦАП, так как его можно легко использовать для умножения входного кода на любое опорное напряжение.

Суть преобразования входного цифрового кода в выходной аналоговый сигнал довольно проста. Она состоит в суммировании нескольких токов (по числу разрядов входного кода), каждый последующий из которых вдвое больше предыдущего. Для получения этих токов используются или транзисторные источники тока, или резистивные матрицы, коммутируемые транзисторными ключами.

В качестве примера на рис.14 показано 4-разрядное (n = 4) цифро-аналоговое преобразование на основе резистивной матрицы R–2R и ключей (в реальности используются ключи на основе транзисторов). Правому положению ключа соответствует единица в данном разряде входного кода N (разряды D0…D3). Операционный усилитель может быть как встроенным (в случае ЦАП с выходом по напряжению), так и внешним (в случае ЦАП с выходом по току).

Рис. 14. 4-разрядное цифро-аналоговое преобразование

Первым (левым по рисунку) ключом коммутируется ток величиной U REF /2R, вторым ключом - ток U REF /4R, третьим - ток U REF /8R, четвертым - ток U REF /16R. То есть токи, коммутируемые соседними ключами, различаются вдвое, как и веса разрядов двоичного кода. Токи, коммутируемые всеми ключами, суммируются и преобразуются в выходное напряжение с помощью операционного усилителя с сопротивлением R ОС =R в цепи отрицательной обратной связи.



При правом положении каждого ключа (единица в соответствующем разряде входного кода ЦАП) ток, коммутируемый этим ключом, поступает на суммирование. При левом положении ключа (нуль в соответствующем разряде входного кода ЦАП) ток, коммутируемый этим ключом, на суммирование не поступает.

Суммарный ток I O от всех ключей создает на выходе операционного усилителя напряжение U O =I O R ОС =I OR . То есть вклад первого ключа (старшего разряда кода) в выходное напряжение составляет U REF /2, второго - U REF /4, третьего - U REF /8, четвертого - U REF /16. Таким образом, при входном коде N = 0000 выходное напряжение схемы будет нулевым, а при входном коде N = 1111 оно будет равно –15U REF /16.

В общем случае выходное напряжение ЦАП при R ОС = R будет связано со входным кодом N и опорным напряжением U REF простой формулой

U ВЫХ = –N U REF 2 -n

где n - количество разрядов входного кода. Некоторые микросхемы ЦАП предусматривают возможность работы в биполярном режиме, при котором выходное напряжение изменяется не от нуля до U REF , а от –U REF до +U REF . При этом выходной сигнал ЦАП U ВЫХ умножается на 2 и сдвигается на величину U REF . Связь между входным кодом N и выходным напряжением U ВЫХ будет следующей:

U ВЫХ =U REF (1–N 2 1–n)

Микросхемы АЦП выполняют функцию, прямо противоположную функции ЦАП, - преобразуют входной аналоговый сигнал в последовательность цифровых кодов. В общем случае микросхему АЦП можно представить в виде блока, имеющего один аналоговый вход, один или два входа для подачи опорного (образцового) напряжения, а также цифровые выходы для выдачи кода, соответствующего текущему значению аналогового сигнала (рис. 15).

Часто микросхема АЦП имеет также вход для подачи тактового сигнала CLK, сигнал разрешения работы CS и сигнал, говорящий о готовности выходного цифрового кода RDY. На микросхему подается одно или два питающих напряжения и общий провод.

Рис. 15. Микросхема АЦП

В настоящее время разработано много различных методов аналого-цифрового преобразования, например методы последователь­ного счета, поразрядного уравновешивания, двойного интегрирова­ния; с преобразованием напряжения в частоту, параллельного пре­образования. Схемы преобразователей, построенных на основе пе­речисленных методов, могут содержать или не содержать ЦАП.

Схема АЦП последовательного счета приведена на рис(.16, а.) Как видно из графика, время преобразования этого типа перемен­ное и зависит от входного аналогового сигнала, однако такт работы всего устройства постоянен и равен,, где T 0 - период гене­ратора опорных импульсов, n -разрядность счетчика и собственно АЦП. Работа такого АЦП не требует синхронизации, что значи­тельно упрощает построение схемы управления. С момента поступ­ления сигнала «Старт» на выходе АЦП с частотой 1/T p изменяются цифровые коды результата преобразования (частота 1/T p - пара­метр, определяющий максимально допустимую частоту отслежива­ния входного сигнала,).

Важнейшими характеристиками АЦП являются их точность, быстродействие и стоимость. Точность связана с разрядностью АЦП. Дело в том, что аналоговый сигнал на входе АЦП превращается в двоичный цифровой код на выходе, т.е. АЦП является измерителем величины аналогового сигнала с точностью до половины самого младшего разряда. Поэтому, скажем, 8-разрядный АЦП обеспечивает точность преобразования не выше, чем от максимально возможного значения. 10-разрядный АЦП обеспечивает точность преобразования не выше, чем , 14-разрядный – точность не выше , а 16-разрядный – не выше от максимально возможного значения.

Быстродействие АЦП характеризуют промежутком времени, требуемым для выполнения одного преобразования, или количеством возможных преобразований за единицу времени (частотой преобразований).

Обычно чем выше точность (разрядность) АЦП, тем ниже его быстродействие, и чем выше точность и быстродействие, тем выше и стоимость АЦП. Поэтому, проектируя интеллектуальный сенсор, надо правильно подбирать его параметры.

АЦП ныне строят по разным схемным принципам и выпускают в виде как отдельных интегральных микросхем, так и в виде узлов более сложных схем (например, микроконтроллеров ).

Аналого-цифровые преобразователи предназначены для преобразования аналогового сигнала (обычно напряжения) в цифровую форму (последовательность цифровых значений напряжения, измеренных с равными промежутками времени). Одним из важнейших параметров аналого-цифровых преобразователей является разрядность его выходных данных. Именно этот параметр обеспечивает отношение сигнал/шум преобразования и в конечном итоге динамический диапазон цифрового сигнала. Разрядность АЦП стараются увеличивать для увеличения отношения сигнал/шум. Отношение сигнал/шум аналого-цифрового преобразователя можно определить по следующей формуле:

SN = N × 6 + 3,5 (дБ)

где N — количество двоичных разрядов на выходе АЦП.

Не менее важным параметром АЦП является время получения на его выходе следующего отсчета цифрового сигнала. Получить одновременно высокую скорость преобразования и большую разрядность является очень сложной задачей, для решения которой было разработано большое количество видов аналого-цифровых преобразователей. Рассмотрим их основные характеристики и области применения.

Наиболее скоростным видом АЦП являются . В этих видах АЦП требуется передавать большие потоки данных, поэтому они передаются в параллельном виде. Это приводит к тому, что параллельные АЦП обладают большим количеством внешних выводов. В результате габариты микросхем параллельных АЦП достаточно велики. Еще одной особенностью параллельных АЦП является значительный ток потребления. Перечисленные недостатки данного вида АЦП являются платой за высокую скорость преобразования аналогового сигнала в цифровую форму его представления. Скорость преобразования в параллельных АЦП достигает 500 миллионов отсчетов в секунду (500 MSPS). По теореме Котельникова максимальная частота входного сигнала может достигать 250 МГц. В качестве примера можно назвать микросхему AD6641-500 фирмы Analog Devices или микросхему ISLA214P50 фирмы Intersil.

Для достижения еще более высоких скоростей преобразования используют параллельное соединение несколько параллельных АЦП, работающих по очереди. При этом для того, чтобы обеспечить передачу данных к обрабатывающей микросхеме приходится использовать несколько параллельных шин (по одной на каждый АЦП). В качестве примера подобного вида аналого-цифровых преобразователей можно назвать микросхему АЦП MAX109 фирмы Maxim, обеспечивающую скорость преобразования до 2,2 GSPS.

Немного более экономичным видом АЦП являются . В этих видах АЦП в процессе аналого-цифрового преобразования участвуют цифро-аналоговые преобразователи. Высокая скорость подачи на выход отсчетов аналогового сигнала реализуется за счет конвейерной обработки. В результате для последовательно-параллельных FWG скорость преобразования и скорость выдачи на выход очередного цифрового отсчета не совпадают. В качестве примера можно назвать микросхемы AD6645 и AD9430 фирмы Analog Devices.

Самым распространенным видом АЦП в настоящее время являются . Несмотря на то, что в данных видах аналого-цифровых преобразователей невозможна конвейерная обработка данных, а значит время преобразования и период выдачи данных на выходе АЦП совпадают, данный вид АЦП обладает достаточным быстродействием для работы в широком диапазоне задач.

В настоящее время дискретизация сигнала в устройствах выборки и хранения (УВХ) и преобразование напряжения в двоичные числа (цифровые отсчеты сигнала) производятся в одной микросхеме. Типовая схема включения АЦП с параллельным выходом приведена на рисунке 1.


Рисунок 1. Схема включения параллельного АЦП ADC0804

В этой схеме для начала аналого-цифрового преобразования микропроцессор или программируемая логическая схема должны подать сигнал начала преобразования (в данной схеме это сигнал WR). После завершения преобразования микросхема АЦП выдает сигнал готовности данных INTR и микропроцессор может считать двоичный код, соответствующий входному напряжению. При преобразовании сигнала по теореме Котельникова частота дискретизации f д поступает на вход WR и ее стабильность обеспечивается микропроцессором.

Следует отметить, что при обработке низкочастотных сигналов часто требуется выполнять одновременно и аналого-цифровое преобразование и цифро-аналоговое преобразование. В ряде случаев требуется в одной микросхеме объединять несколько аналоговых каналов, например, стереообработка звука. Кроме того, в данных видах микросхем в их состав включаются низкочастотные или полосовые фильтры, операционные усилители, что позволяет подавать на их вход сигнал непосредственно с выхода микрофона, а с выхода — на телефон. Подобный вид микросхем АЦП/ЦАП получил особое название — кодеки.

Литература:

  1. Analod-Digital Conversion, Walt Kester editor, Analog Devises, 2004. — 1138 p.
  2. Mixed-Signal and DSP Design Techniques ISBN_0750676116, Walt Kester editor, Analog Devises, 2004. — 424 p.
  3. High Speed System Application, Walt Kester editor, Analog Devises, 2006. — 360 p.

Вместе со статьей "Виды аналого-цифровых преобразователей (АЦП)" читают:

Аналого-цифровые преобразователи (АЦП) – это устройство, с помощью которого происходит процесс преобразования в числовое представление входной физической величины. В качестве входной величины может быть ток, напряжение, сопротивление, емкость.

АЦП тесно связан с понятием измерения, под которым имеется в виду процесс сравнения с эталоном измеряемой входной величины. То есть аналогово-цифровое преобразование рассматривается в качестве измерения значения входного сигнала и, соответственно, к нему можно применять понятия погрешности измерения.

АЦП обладает рядом характеристик, главными из которых являются разрядность и частота преобразования. Разрядность выражается в битах, а частота преобразования – в отсчетах в секунду. Чем выше разрядность и скорость, тем сложнее приобрести необходимые характеристики и тем сложнее и дороже преобразователь.

Принцип АЦП, состав и структурные схемы в значительной мере зависят от метода преобразований.

Классификация

В настоящее время известно большое число методов преобразования напряжение-код. Эти методы существенно отличаются друг от друга потенциальной точностью, скоростью преобразования и сложностью аппаратной реализации. На рис. 2 представлена классификация АЦП по методам преобразования.

Среди разновидностей аналого-цифровых преобразователей, наиболее популярными являются:

1. АЦП параллельного преобразования. Обладают низкой разрядностью и высоким быстродействием. Принцип действия заключается в поступлении входного сигнала на «плюсовые» входы компараторов, а ряд напряжений подается на «минусовые». Работа компараторов осуществляется параллельно, время задержки схемы складывается из времени задержки в одном компараторе и времени задержки в шифраторе. Исходя из этого, шифратор и компаратор можно сделать быстрыми и схема получит высокое быстродействие.
2. АЦП последовательного приближения. Осуществляет измерение величины входного сигнала, производя ряд «взвешиваний» или сравнений величин входного напряжения и ряда величин. Характеризуется высокой скоростью преобразования и ограничен точностью внутреннего ЦАП.

3. АЦП с балансировкой заряда. Принцип действия заключается в сравнении входного напряжения со значением напряжения, которое накоплено интегратором. Импульсы подаются на вход интегратора отрицательной или положительной полярности, исходя из результата сравнения. В итоге, напряжение на выходе «прослеживает» за входным напряжением. Характеризуется высокой точностью при низкком уровне собственного шума.

Аналого-цифровое преобразование используется везде, где требуется принимать аналоговый сигнал и обрабатывать его в цифровой форме.

  • АЦП является составной частью цифрового вольтметра и мультиметра.
  • Специальные видео-АЦП используются в компьютерных ТВ-тюнерах, платах видеовхода, видеокамерах для оцифровки видеосигнала. Микрофонные и линейные аудиовходы компьютеров подключены к аудио-АЦП.
  • АЦП являются составной частью систем сбора данных.
  • АЦП последовательного приближения разрядностью 8-12 бит и сигма-дельта-АЦП разрядностью 16-24 бита встраиваются в однокристальные микроконтроллеры.
  • Очень быстрые АЦП необходимы в цифровых осциллографах (используются параллельные и конвеерные АЦП)
  • Современные весы используют АЦП с разрядностью до 24 бит, преобразующие сигнал непосредственно от тензометрического датчика (сигма-дельта-АЦП).
  • АЦП входят в состав радиомодемов и других устройств радиопередачи данных, где используются совместно с процессором ЦОС в качестве демодулятора.
  • Сверхбыстрые АЦП используются в антенных системах базовых станций (в так называемых SMART-антеннах) и в антенных решётках РЛС.

34. Цифро-аналоговые преобразователи, назначение, структура, принцип действия .

Цифро-аналоговый преобразователь (ЦАП ) - устройство для преобразования цифрового (обычно двоичного) кода в аналоговый сигнал (ток, напряжение или заряд). Цифро-аналоговые преобразователи являются интерфейсом между дискретным цифровым миром и аналоговыми сигналами.

Аналого-цифровой преобразователь (АЦП) производит обратную операцию.

Звуковой ЦАП обычно получает на вход цифровой сигнал в импульсно-кодовой модуляции. Задача преобразования различных сжатых форматов в PCM выполняется соответствующими кодеками.

ЦАП применяется всегда, когда надо преобразовать сигнал из цифрового представления в аналоговое, например, в проигрывателях компакт-дисков (Audio CD).